[prev in list] [next in list] [prev in thread] [next in thread] 

List:       linux-crypto-vger
Subject:    Re: [RFC][PATCH 1/4] lib: hex2bin converts ascii hexadecimal
From:       Mimi Zohar <zohar () linux ! vnet ! ibm ! com>
Date:       2010-09-29 13:51:38
Message-ID: 1285768298.3213.50.camel () localhost ! localdomain
[Download RAW message or body]

On Wed, 2010-09-29 at 13:11 +0100, David Howells wrote:
> Mimi Zohar <zohar@linux.vnet.ibm.com> wrote:
> 
> > --- a/lib/hexdump.c
> > +++ b/lib/hexdump.c
> > @@ -34,6 +34,22 @@ int hex_to_bin(char ch)
> >  EXPORT_SYMBOL(hex_to_bin);
> >  
> >  /**
> > + * hex2bin - convert an ascii hexadecimal string to its binary representation
> > + * @mem: result
> > + * @buf: ascii hexadecimal string
> > + * @count: result length
> > + */
> > +void hex2bin(unsigned char *mem, char *buf, int count)
> 
> Hmmm...  It might be better to put this in its own file in lib, otherwise it
> will drag in all the other functions in that file if used, and vice versa.
> 
> David

hex2bin calls hex_to_bin() which is defined right above it in the same
file. But the reverse, as you suggested, is still true.

thanks,

Mimi

--
To unsubscribe from this list: send the line "unsubscribe linux-crypto" in
the body of a message to majordomo@vger.kernel.org
More majordomo info at  http://vger.kernel.org/majordomo-info.html
[prev in list] [next in list] [prev in thread] [next in thread] 

Configure | About | News | Add a list | Sponsored by KoreLogic