From kwrite-devel Wed Jun 13 14:38:47 2007 From: stephane PETITHOMME Date: Wed, 13 Jun 2007 14:38:47 +0000 To: kwrite-devel Subject: Re: Syntax Highlighting and SystemVerilog Hardware description Message-Id: <200706131638.48034.stephane.petithomme () certess ! com> X-MARC-Message: https://marc.info/?l=kwrite-devel&m=118174575526788 MIME-Version: 1 Content-Type: multipart/mixed; boundary="--Boundary-00=_4FAcGmWSANCaaIk" --Boundary-00=_4FAcGmWSANCaaIk Content-Type: text/plain; charset="iso-8859-1" Content-Transfer-Encoding: quoted-printable Content-Disposition: inline Hi all, Here attached is the syntax highlighting rules for SystemVerilog with exten= ded=20 keyword. I don't know where to setup the new related mimes types (for *.sv and *.sys= v)=20 files at KDE level, if needed Could one Kate developer add it to base code? thanks regards stephane On Wednesday 13 June 2007, Dominik Haumann wrote: > On Wednesday 13 June 2007, Anders Lund wrote: > > On Tuesday 12 June 2007, stephane PETITHOMME wrote: > > > Hi all, > > > I am using Kate (or Kdevelop) in my dayly work and i come to edit > > > systemVerilog code. As I am also working on the grammar of this new > > > hardware language, I'd like to add support for syntax highlighting for > > > it to kate. > > > > > > Could i get some hint on how to process. I can do the work and send it > > > back later. > > > > The syntax highlighting definitions are XML files in > > KDEDIR/share/apps/katepart/syntax/.xml > > > > There is a appendix in the kate handbook about the format, and an artic= le > > at http://www.kate-editor.org. > > http://kate-editor.org/article/writing_a_kate_highlighting_xml_file > that is the link. > kate-editor.org is still broken in that you can't click "next" or "2", see > my other mail, so he will never find it by navigation. > > dominik =2D-=20 St=E9phane PETITHOMME =2D-------------------------------------------------- e-mail:stephane.petithomme@certess.com T=E9l: =A0+33 4 76 43 98 44 =46ax: =A0+33 4 76 43 03 57 Le Xenon 340 rue de l'Eygala Centr'Alp 38430 Moirans =46rance This e-mail message is intended for the addressee(s) only and may contain=20 confidential and or privileged information. =A0If you are not the intended= =20 recipient of this e-mail message, you should not read, copy, forward or=20 otherwise distribute or further disclose the information in it. If you have= =20 received this e-mail message in error, please contact Certess SARL at=20 telephone number +33 4 76 43 98 50, fax number +33 4 76 43 03 57 or contact= =20 the originator of this e-mail message via e-mail and delete all copies of=20 this message from your computer or network, thank you. --Boundary-00=_4FAcGmWSANCaaIk Content-Type: text/xml; charset="iso-8859-1"; name="systemverilog.xml" Content-Transfer-Encoding: 7bit Content-Disposition: attachment; filename="systemverilog.xml" module macromodule endmodule task endtask function endfunction table endtable specify specparam endspecify case casex casez endcase fork join defparam default begin end if ifnone else forever while for wait repeat disable assign deassign force release always initial edge posedge negedge always_comb always_ff always_latch assert assume before bind bins binsof break class clocking constraint context continue cover covergroup coverpoint cross dist do endclass endclocking endgroup endinterface endpackage endprogram endproperty endsequence enum export extends extern expect final first_match foreach forkjoin iff ignore_bins illegal_bins import inside interface intersect join_any join_none matches modport new null package priority program property protected pure rand randc randsequence return sequence solve struct super tagged this throughout timeprecision timeunit type typedef union unique virtual wait_order with within strong0 strong1 pull0 pull1 weak0 weak1 highz0 highz1 small medium large pullup pulldown cmos rcmos nmos pmos rnmos rpmos and nand or nor xor xnor not buf tran rtran tranif0 tranif1 rtranif0 rtranif1 bufif0 bufif1 notif0 notif1 input output inout wire tri tri0 tri1 wand wor triand trior supply0 supply1 reg integer real realtime time vectored scalared trireg parameter event alias bit byte chandle const int local longint packed ref shortint shortreal static var void --Boundary-00=_4FAcGmWSANCaaIk Content-Type: text/plain; charset="us-ascii" MIME-Version: 1.0 Content-Transfer-Encoding: 7bit Content-Disposition: inline _______________________________________________ KWrite-Devel mailing list KWrite-Devel@kde.org https://mail.kde.org/mailman/listinfo/kwrite-devel --Boundary-00=_4FAcGmWSANCaaIk--