On Wednesday 13 June 2007, Dominik Haumann wrote: > On Wednesday 13 June 2007, Anders Lund wrote: > > On Tuesday 12 June 2007, stephane PETITHOMME wrote: > > > Hi all, > > > I am using Kate (or Kdevelop) in my dayly work and i come to edit > > > systemVerilog code. As I am also working on the grammar of this new > > > hardware language, I'd like to add support for syntax highlighting for > > > it to kate. > > > > > > Could i get some hint on how to process. I can do the work and send it > > > back later. > > > > The syntax highlighting definitions are XML files in > > KDEDIR/share/apps/katepart/syntax/.xml > > > > There is a appendix in the kate handbook about the format, and an article > > at http://www.kate-editor.org. > > http://kate-editor.org/article/writing_a_kate_highlighting_xml_file > that is the link. > kate-editor.org is still broken in that you can't click "next" or "2", see > my other mail, so he will never find it by navigation. > > dominik thanks dominik, I'll send the completed file for SystemVerilog when it is done. regards -- Stéphane PETITHOMME --------------------------------------------------- e-mail:stephane.petithomme@certess.com Tél:  +33 4 76 43 98 44 Fax:  +33 4 76 43 03 57 Le Xenon 340 rue de l'Eygala Centr'Alp 38430 Moirans France This e-mail message is intended for the addressee(s) only and may contain confidential and or privileged information.  If you are not the intended recipient of this e-mail message, you should not read, copy, forward or otherwise distribute or further disclose the information in it. If you have received this e-mail message in error, please contact Certess SARL at telephone number +33 4 76 43 98 50, fax number +33 4 76 43 03 57 or contact the originator of this e-mail message via e-mail and delete all copies of this message from your computer or network, thank you. _______________________________________________ KWrite-Devel mailing list KWrite-Devel@kde.org https://mail.kde.org/mailman/listinfo/kwrite-devel