From kwrite-devel Tue Jun 12 16:03:32 2007 From: stephane PETITHOMME Date: Tue, 12 Jun 2007 16:03:32 +0000 To: kwrite-devel Subject: Syntax Highlighting and SystemVerilog Hardware description language Message-Id: <200706121803.32788.stephane.petithomme () certess ! com> X-MARC-Message: https://marc.info/?l=kwrite-devel&m=118171238711334 Hi all, I am using Kate (or Kdevelop) in my dayly work and i come to edit systemVerilog code. As I am also working on the grammar of this new hardware language, I'd like to add support for syntax highlighting for it to kate. Could i get some hint on how to process. I can do the work and send it back later. regards stephane -- Stéphane PETITHOMME --------------------------------------------------- e-mail:stephane.petithomme@certess.com Tél:  +33 4 76 43 98 44 Fax:  +33 4 76 43 03 57 Le Xenon 340 rue de l'Eygala Centr'Alp 38430 Moirans France This e-mail message is intended for the addressee(s) only and may contain confidential and or privileged information.  If you are not the intended recipient of this e-mail message, you should not read, copy, forward or otherwise distribute or further disclose the information in it. If you have received this e-mail message in error, please contact Certess SARL at telephone number +33 4 76 43 98 50, fax number +33 4 76 43 03 57 or contact the originator of this e-mail message via e-mail and delete all copies of this message from your computer or network, thank you. _______________________________________________ KWrite-Devel mailing list KWrite-Devel@kde.org https://mail.kde.org/mailman/listinfo/kwrite-devel